Strumenti Utente

Strumenti Sito


informatica:ae:reti_sequenziali

Differenze

Queste sono le differenze tra la revisione selezionata e la versione attuale della pagina.

Link a questa pagina di confronto

Entrambe le parti precedenti la revisioneRevisione precedente
informatica:ae:reti_sequenziali [18/10/2013 alle 08:50 (12 anni fa)] Marco Daneluttoinformatica:ae:reti_sequenziali [18/10/2013 alle 08:55 (12 anni fa)] (versione attuale) Marco Danelutto
Linea 12: Linea 12:
       * in uno statement **initial begin ... end** assegna il valore iniziale al registro di stato e al registro delle uscite       * in uno statement **initial begin ... end** assegna il valore iniziale al registro di stato e al registro delle uscite
       * in uno statement **always @(negedge clock) begin ... end** (dove //clock// è il nome della variabile di ingresso che porta il segnale di clock) assegna al registro di stato il valore dell'uscita di sigma e al registro delle uscite il valore dell'uscita di omega utilizzando statement <code>var <= val</code> Questo statement di assegnamento ha il significato di effettuare l'assegnamento //in parallelo// agli altri nel blocco.        * in uno statement **always @(negedge clock) begin ... end** (dove //clock// è il nome della variabile di ingresso che porta il segnale di clock) assegna al registro di stato il valore dell'uscita di sigma e al registro delle uscite il valore dell'uscita di omega utilizzando statement <code>var <= val</code> Questo statement di assegnamento ha il significato di effettuare l'assegnamento //in parallelo// agli altri nel blocco. 
 +
 +==== Esempio ====
 +
 +Supponiamo di voler implementare come rete sequenziale un automa di Mealy che:
 +  * ha due stati
 +  * nel primo stato se riceve uno 0 rimane lì e manda in uscita un 1, se riceve un 1 transita nell'altro stato e manda in uscita un 1
 +  * nel secondo stato, se riceve un 0 rimane lì e manda in uscita uno 0, se riceve un 1 transita nell'altro stato con un uscita a 0. 
 +
 +=== Rete Omega ===
 +<code>
 +primitive automa_omega(output z, input s, input x);
 +  table
 +   0 0 : 1; 
 +   0 1 : 1; 
 +   1 0 : 0; 
 +   1 1 : 0; 
 +  endtable
 +endprimitive
 +</code>
 +
 +=== Rete Sigma === 
 +
 +<code>
 +primitive automa_sigma(output z, input s, input x);
 +  table
 +   0 0 : 0; 
 +   0 1 : 1; 
 +   1 0 : 0; 
 +   1 1 : 1; 
 +  endtable
 +endprimitive
 +</code>
 +
 +=== Automa di Mealy ===
 +<code>
 +module automa_mealy(output reg z, input x, input clock); 
 +
 +  reg stato; 
 +
 +  wire uscita_omega; 
 +  wire uscita_sigma; 
 +
 +  automa_omega omega(uscita_omega, stato, x);
 +  automa_sigma sigma(uscita_sigma, stato, x);
 +
 +  initial
 +    begin
 +      stato = 0; 
 +      z=0; 
 +    end
 +
 +  always @(negedge clock)
 +    begin
 +      stato <= uscita_sigma; 
 +      z     <= uscita_omega;
 +    end
 +  
 +endmodule
 +</code>
 +
informatica/ae/reti_sequenziali.1382086224.txt.gz · Ultima modifica: 18/10/2013 alle 08:50 (12 anni fa) da Marco Danelutto

Donate Powered by PHP Valid HTML5 Valid CSS Driven by DokuWiki